Csomi's Stars
riscv/riscv-isa-manual
RISC-V Instruction Set Manual
openai/spinningup
An educational resource to help anyone learn deep reinforcement learning.
projf/projf-explore
Project F brings FPGAs to life with exciting open-source designs you can build on.
chipsalliance/verible
Verible is a suite of SystemVerilog developer tools, including a parser, style-linter, formatter and language server
YosysHQ/sby
SymbiYosys (sby) -- Front-end for Yosys-based formal verification flows
YosysHQ/nextpnr
nextpnr portable FPGA place and route tool
YosysHQ/yosys
Yosys Open SYnthesis Suite
m-labs/nmigen
A refreshed Python toolbox for building complex digital hardware. See https://gitlab.com/nmigen/nmigen
f4pga/prjxray
Documenting the Xilinx 7-series bit-stream format.
trezor/python-trezor
:snake: Don't use this repo, use the new monorepo instead:
analogdevicesinc/hdl
HDL libraries and projects
ben-marshall/verilog-parser
A Flex/Bison Parser for the IEEE 1364-2001 Verilog Standard.
riscv-boom/riscv-boom
SonicBOOM: The Berkeley Out-of-Order Machine
jhshi/openofdm
Sythesizable, modular Verilog implementation of 802.11 OFDM decoder.
tommarshall/git-good-commit
Git hook to help you write good commit messages, with no external dependencies.
ArrowElectronics/arrow-adi-intel-psg
ADI / Intel PSG development platforms
steveicarus/iverilog
Icarus Verilog
YosysHQ/picorv32
PicoRV32 - A Size-Optimized RISC-V CPU
jlevy/the-art-of-command-line
Master the command line, in one page
pavel-a/devmemX
Program to read/write from/to any location in physical memory (cloned from devmem or devmem2). See wiki.
r4d10n/retrogram-plutosdr
Wideband Spectrum analyzer on your terminal/ssh console with ASCII art.
KastnerRG/pp4fpgas
Parallel Programming for FPGAs -- An open-source high-level synthesis book
devbisme/FpgasNowWhat
Source for the "FPGAs?! Now What?" Book
k88hudson/git-flight-rules
Flight rules for git
olofk/fusesoc
Package manager and build abstraction tool for FPGA/ASIC development
Scanate/EthList
The Comprehensive Ethereum Reading List
KestrelComputer/kestrel
The Kestrel is a family of home-made computers, built as much as possible on open-source technology, and supporting as much as possible the open-source philosophy.
Nyr/openvpn-install
OpenVPN road warrior installer for Ubuntu, Debian, AlmaLinux, Rocky Linux, CentOS and Fedora
Elphel/vdt-plugin
mirror of https://git.elphel.com/Elphel/vdt-plugin
cocotb/cocotb
cocotb, a coroutine based cosimulation library for writing VHDL and Verilog testbenches in Python