DeanIsMe/SevSeg

seven segment comman cathode with switches first digit issue

microElabDevelop opened this issue · 1 comments

hi, i am using

    byte numDigits = 4;   
    byte digitPins[] = {10,12,11,9}; //Digits: 1,2,3,4
    byte segmentPins[] = {8,7,6,5,4,3,2}; //Segments: A,B,C,D,E,F,G,Period

these Pins and this is my setting

  bool resistorsOnSegments =true; // 'false' means resistors are on digit pins
  byte hardwareConfig=NP_COMMON_ANODE;
  bool updateWithDelays = false; // Default 'false' is Recommended
  bool leadingZeros = true; // Use 'true' if you'd like to keep the leading zeros
  bool disableDecPoint = false; // Use 'true' if your decimal point doesn't exist or isn't connected. Then, you only need to specify 7 segmentPins[]

  sevseg.begin(hardwareConfig, numDigits, digitPins, segmentPins, resistorsOnSegments,
  updateWithDelays, leadingZeros, disableDecPoint);
  sevseg.setBrightness(200); //Note: 100 brightness simply corresponds to a delay of 2000us after lighting each segment. A brightness of 0 

i use PNP A733 transistor and then ULN2oo3 on digit and use uln2oo3 and BD14o PNP transistor on Digit and use 22o R on segment.
use 4 digit comman cathode size 2.2 inch
every thing is working fine but only facing 1 issue, every time 1 digit disPlay mix with other 3 , like than when i Print 1111 on disPlay
every thing ok, but when i Print 1234 on disPlay 234 is Print fine but first digit with 1 is mix Printing with other.

Hi, it sounds like you might have a wiring or hardware issue. I recommend using the sketch testDisplay to help find the issue.
You can see what the sketch should produce here:
https://wokwi.com/projects/371856060223388673