verilator/verilator-announce

Verilator 4.038 2020-07-11 Released

Opened this issue · 0 comments

Verilator 4.036 2020-06-06 released

Major:

  • Versions 4.038 and 4.040 are planned to be the final versions that will support pre-C++11 compilers. Please move to C++11 or newer compilers.

Notable:

  • Support VPI access to parameters and localparam. [Ludwig Rogiers]
  • Support parsing (not elaboration, yet) of UVM.

Other:

  • Add new UNSUPPORTED error code to replace most previous Unsupported: messages.
  • With --bbox-unsup continue parsing on many (not all) UVM constructs.
  • Support for-loop increments with commas.
  • Support $swrite with arbitrary arguments.
  • Support $writememb (verilator/verilator#2450). [Fan Shupei]
  • Fix OS X, Free BSD, and -m32 portability issues. [Geza Lore]
  • Fix to flush FST trace on termination due to $stop or assertion failure.
  • Fix part select error when multipling by power-of-two (verilator/verilator#2413). [Conor McCullough]
  • Fix division exception (verilator/verilator#2460) [Kuoping Hsu]