vortexgpgpu/vortex_tutorials

Assignment 1 Small Issue

Opened this issue · 1 comments

In assignment one, it says "Once this is done, the number of active threads should be divided by the total number of cycles in /vortex/runtime/common/vx_utils.cpp and printed out."

However, the file name is actually /vortex/runtime/common/utils.cpp (no vx_)

Related to this is the next bit where it says:

Then, in the next "#ifdef PERF_ENABLE" macro in the same "vx_dump_perf" function, you should add the code to retrieve the counter from the CSR:

The link there points to a file that doesn't exist.