/Riscy-SoC

Riscy-SoC is SoC based on RISC-V CPU core, designed in Verilog

Primary LanguageVerilogMIT LicenseMIT

Watchers