/cs203-S2020-lab2-starter

Starter for Laboratory Assignment 2 in Computer Science 203 Spring 2020

cs203-S2020-lab2-starter

Designed for use with GitHub Classroom, this repository contains the starter for Laboratory 2 in Computer Science 203. As part of this assignment, you should answer all of the questions in the reflection.md file, furnishing evidence of your contributions to the project. Students who have questions about this task should talk with a student technical leader or the course instructor. Before the due date for this project, please ensure that:

  • You made significant contributions to the shared GitHub repository for the Project Management Guide.

  • The build status for the shared GitHub repository for the Project Management Guide is passing.

  • The GitHub repository for the Project Management Guide do not have any open, unresolved issues in it.

  • This GitHub repository contains a completed reflection file.

  • You have followed the required best practices for asking the course instructor for feedback on the work that you completed for this laboratory assignment.

References to the Shared GitHub Repository

As part of this assignment, you will work in an entire-class team to complete all of the required tasks in the two following repositories:

Assignment Assessment

Taking inspiration from the principles of specification-based grading, the grade that a student receives on this assignment will have the following components:

  • Travis CI Build Status: Since additional checks on the source code and/or technical writing may be encoded in Travis CI's actions, students will receive a checkmark grade if their last before-the-deadline build passes and a green ✔ appears in their GitHub commit log instead of a red ✗. As with the previous grading component, students are encouraged to repeatedly revise their source code and technical writing in an attempt to get their Travis CI build to pass.

  • Mastery of Technical Writing: Students will also receive a checkmark grade when the responses to the technical writing questions presented in the reflection.md reveal a mastery of both writing skills and technical knowledge. To receive a checkmark grade, the submitted writing should have correct spelling, grammar, and punctuation in addition to following the rules of Markdown and providing technically accurate answers. Students are encouraged to ask the course instructor or a student technical leader to use the GitHub issue tracker to provide feedback on their mastery of technical writing skills.

  • Mastery of Technical Knowledge and Skills: Students will also receive a checkmark grade when their GitHub repository reveals that they have mastered all of the technical knowledge and skills developed during the completion of this assignment. As a part of this grade, the instructor will assess aspects of the project including, but not limited to, the use of effective Markdown syntax and appropriate Git commit messages. Students are encouraged to ask the course instructor or a student technical leader to use the GitHub issue tracker to provide feedback on how well their work demonstrates mastery of the assignment's technical knowledge and skills.

Students who wish to receive feedback on their work for this laboratory assignment should first open an issue on the issue tracker for this GitHub repository, giving an appropriate title and description for the type of feedback that you would like the course instructor to provide. After creating this issue, you will see that GitHub has created a unique web site that references this issue. To alert the course instructor to the fact that the issue was created and that you want feedback on your work, please send it to him by a Slack direct message at least 24 hours in advance of the project's due date. After the instructor responds to the issue, please resolve all of the stated concerns and participate in the discussion until the issue is closed.

All grades for this project will be reported through a student's GitHub repository using either messages in the GitHub commit log or issues raised in the issue tracker. Students should ask questions about their grade for this project in GitHub so as to facilitate an effective conversation about the submitted deliverables.

Problems with the Assignment

If you have any problems with the completion of this assignment, then please create an issue in this repository using the "Issues" link at the top of this site. Before this assignment is submitted by the due date, all the issues in this repository should have been assigned to a team member, discussed, handled, marked as resolved, and then closed.