Verilog_practices

verilog