/instVhdl

VHDL component instantiation script

Primary LanguagePythonBSD 3-Clause "New" or "Revised" LicenseBSD-3-Clause

Build Status BCH compliance

Plugin description

The main purpose of instVHDL script is insertion of one VHDL component into another file.

Command line usage

Syntax of using this script is following:

python instVHDL input_file output_file str_num

Vim plugin installation

To install this script as Vim plugin place plugin folder to .vim/

Vim plugin usage

After plugin installation you can use plugin by typing following command:

:InstVHDL input_file

VHDL instance will be placed in current cursor line.