/PeakRDL-uvm

Generate UVM register model from compiled SystemRDL input

Primary LanguagePythonGNU General Public License v3.0GPL-3.0

Watchers

No one’s watching this repository yet.