/cocotb

cocotb, a coroutine based cosimulation library for writing VHDL and Verilog testbenches in Python

Primary LanguagePythonBSD 3-Clause "New" or "Revised" LicenseBSD-3-Clause

Watchers

No one’s watching this repository yet.