/Getting_Started_with_Verilog

通过北京交通大学的网课学习Verilog HDL入门。

Primary LanguageVerilogMIT LicenseMIT

Getting Started with Verilog

通过北京交通大学的网课学习Verilog HDL入门。

可以使用apt-get包管理器安装Icarus VerilogGTKWave

sudo apt-get install iverilog
sudo apt-get install gtkwave

要使用iVerilog生成仿真波形文件,需要在Testbench中加入如下代码:

initial begin
    $dumpfile("file_tb.vcd");
    $dumpvars;
end

通过以下指令编译综合以及查看仿真结果:

iverilog -o file_tb file.v
vvp file_tb
gtkwave file_tb.vcd

使用GTKWave打开vcd波形文件后的界面如下: GTKWave样例