/icdk

uvm framework generator

Primary LanguageSystemVerilog

icdk (IC Deveplopment Toolkit)

PyPI - Python Version PyPI - Version GitHub language count Latest Release downloads CI GitHub deployments


Introduction

uvmgen is a command-line interface (CLI) program for generating testbench structures based on a provided JSON configuration file. This user guide provides detailed information on how to use uvmgen effectively.

Installing

Prerequisites
  • Operating systems
    • Windows
    • Linux
    • macOS
  • Python: 3.8 ~ 3.12

Use Python's package installer pip to install uvmgen:

python3 -m pip install uvmgen 

Usage

The basic usage of uvmgen is as follows:

uvmgen --input <input_json_file> --output <output_directory>

Options

  • --input <input_json_file>: Specifies the input JSON file containing the configuration for the testbench structure.
  • --output <output_directory>: Specifies the directory where the generated files will be placed.

Help

For additional help and options, you can use the -h or --help option:

uvmgen -h

Example

Suppose you have a JSON configuration file named testbench_config.json and you want to generate the testbench structure in a directory named tb, you would run the following command:

uvmgen --input testbench_config.json --output tb
# or
uvmgen -i testbench_config.json -o tb
# or
uvmgen -i testbench_config.json

You can use test/json/example/typical.json to generate a complete UVM environment, or use test/json/base_pkg/***.json to generate a single package.

Contribute

Contributions are always welcome! Simple fork this repo and submit a pull request.