VDHL_inferring

This repository contains files with examples of vhdl codes that inferr multiply, multiply accumulate, multiply substract, etc, operations to DSP cells on ARTIX FPGA boards.