/verilog_uart

my attempt at building a uart in verilog.

Primary LanguageVerilog

Watchers