/PWM-generator-using-verilog

This project is made using verilog on Xilinx. This will help in changing the pulse width of the output wave by using two signals that are increase duty cycle & decrease duty cycle. This repository contains the verilog module code & also the test bench code.

Primary LanguageC

Watchers