/icetools

Open FPGA Toolchain by Clifford Wolf et al.

Primary LanguageShellMIT LicenseMIT

IceTools

Open Source FPGA toolkit built around IceStorm and Yosys by Clifford Wolf.

Run with Docker

docker run dimdm/icetools icepack -h

Install locally (brew || sudo apt-get)

./icetools.sh

Toolchain

Component Function License
Yosys Synthesis ISC
Icarus Verilog Simulation GPL-2.0
Verilator Simulation LGPL-3.0
Arachne-pnr Place & Route MIT
IceStorm Bitstream ISC

Supported FPGAs

iCE40 LP/HX 1K/4K/8K

Supported Devkits

Issues

iceprog is not supported in docker and should be installed locally using ./icestorm.sh which kind of defeats the purpose.

OS X

To unload the default and vendor drivers in case iceprog refuses to connect:

sudo kextunload -v -b com.apple.driver.AppleUSBFTDI
sudo kextunload -v -b com.FTDI.driver.FTDIUSBSerialDriver

Reload with

sudo kextload -v -b com.apple.driver.AppleUSBFTDI
sudo kextload -v -b com.FTDI.driver.FTDIUSBSerialDriver