SIMPLE UART

This is a simple UART Transmitter Receiver module.