/vhdl-4-bit-adder

4 bit adder uni project

Primary LanguageVHDLOtherNOASSERTION

A VHDL 4-bit adder for an old and easy uni project. Implemented via logic instead of with the + operator.