ALU(Arithmetic Logic Unit) Implementation

Based on board SPARTAN-3E XC3S500E

Arithmetic Logic Unit which implements the following operations:

  • bcd to hex
  • adder
  • multiplier
  • find first set bit
  • rotate shift left/right
  • median filter