/Priority-encoder

Hierachial design that implements a priority encoder based -- on the four input buttons that controls a 2x2 switch that will light the leds -- and/or the 7 segment decoder based on two sets of 3 inputs from the switches. -- Outputs a valid signal on Led 7 when the priority encoder is valid. -- All outputs are registered.

Primary LanguageVHDL

This repository is not active