/Computer-Experiment-on-the-principle-of-computer-composition

杭电计算机学院-《计算机组成原理》上机实验代码工程文件

Primary LanguageVerilog

Experiment-on-the-principle-of-computer-composition

杭电计算机学院-计算机组成原理上机实验代码工程文件

实验1-全加器设计实验

实验2-超前进位加法器设计实验

实验3-多功能ALU设计实验

实验4-寄存器堆设计实验

实验5-存储器设计实验

实验7-取指令与指令译码实验

实验8-实现R型指令的CPU设计实验

实验9-实现R-I型指令的CPU设计实验

实验10-实现R-I-J型指令的CPU设计实验

本人的实验代码和每个实验的报告都在这里,福利一下后来的学弟学妹,实验的话尽量自己做,不懂再用。欢迎star/fork。