Missing one space in alignment of aggregate parts
Closed this issue · 3 comments
maltaisn commented
The following file:
library ieee;
use ieee.std_logic_1164.all;
entity b is
end entity b;
architecture a of b is
signal s : MY_TYPE := (
a => '0',
ddddd => (others => '0'),
ffff => (others => '0')
);
begin
end architecture a;
With the configuration:
rule:
signal_007:
disable: yes
Produces:
library ieee;
use ieee.std_logic_1164.all;
entity b is
end entity b;
architecture a of b is
signal s : MY_TYPE := (
a => '0',
ddddd => (others => '0'),
ffff => (others => '0')
);
begin
end architecture a;
Notice the misalignment of ddddd
by one space.
JHertz5 commented
maltaisn commented
It works, thanks for the fix.
jeremiah-c-leary commented