Pinned Repositories
ces_util_lib
CES VHDL utility library, with packages, memories, FIFOs, Clock Domain Crossing and more useful VHDL modules
hdlregs
A Python-based HDL register file generator
i2c_master_uvvm
i2c master with UVVM I2C BFM used in testbench
joplin
Joplin - an open source note taking and to-do application with synchronization capabilities for Windows, macOS, Linux, Android and iOS. Forum: https://discourse.joplinapp.org/
modelsim-docker
Dockerfile for ModelSim Version 16 GUI
UVVM
Open Source VHDL Verification Component Framework for making structured VHDL testbenches for verification of FPGA and ASIC.
uvvm-journey-1
vivado-project-template
Template for Xilinx Vivado projects
vivado-test-docker
Dockerfile with Vivado for CI
lukipedio's Repositories
lukipedio/i2c_master_uvvm
i2c master with UVVM I2C BFM used in testbench
lukipedio/uvvm-journey-1
lukipedio/vivado-test-docker
Dockerfile with Vivado for CI
lukipedio/ces_util_lib
CES VHDL utility library, with packages, memories, FIFOs, Clock Domain Crossing and more useful VHDL modules
lukipedio/joplin
Joplin - an open source note taking and to-do application with synchronization capabilities for Windows, macOS, Linux, Android and iOS. Forum: https://discourse.joplinapp.org/
lukipedio/UVVM
Open Source VHDL Verification Component Framework for making structured VHDL testbenches for verification of FPGA and ASIC.
lukipedio/vivado-project-template
Template for Xilinx Vivado projects
lukipedio/ac_inout_psu
VHDL implementation of signal processing, control and communication associated with a single phase grid connected power supply
lukipedio/BicoccaSchool2023
lukipedio/cocotbExamples
lukipedio/docker-petalinux
Docker image generation for Xilinx Petalinux Tools and Vivado
lukipedio/docker-petalinux2
Docker image generation for Xilinx Petalinux Tools
lukipedio/drawio-desktop
Official electron build of diagrams.net
lukipedio/eda_tools
A Dockerfile with a collections of ready to use open source EDA tools: Yosys, SimbiYosys (with Z3, boolector and Yices2), nextpnr-ice40, netxpnr-ecp5, nextpnr-gowin, Amaranth HDL, Silice and Verilator.
lukipedio/embeddedsw
Xilinx Embedded Software (embeddedsw) Development
lukipedio/fifo
Simple vhdl fifo
lukipedio/Html-Css-Recap
HTML, CSS & JavaScript Recap
lukipedio/jadaq
Just Another DAQ
lukipedio/jenkins-example-docker
lukipedio/lfsr-example
A PN9 sequence checker for the AD9645 analog-to-digital converter
lukipedio/Mars_XU3_ST3_Reference_Design
lukipedio/petalinux-docker
Dockerfile to build images with Petalinux 2018.1~2019.2
lukipedio/python-demoapp
Simple Python Flask web application designed for running in containers for demos
lukipedio/SCISDK
SciCompiler Software Development Kit User Guide
lukipedio/tdd-intro
Example of Test Driven Design with VUnit
lukipedio/test-vhdl-snippet
lukipedio/travis-hdl
Demonstrating continuous integration of hdl codes using travis-ci
lukipedio/vscode-remote-try-python
lukipedio/vscode-remote-try-rust
lukipedio/vunit
VUnit is a unit testing framework for VHDL/SystemVerilog