/Analog_blocks

Design of Analog Blocks in Skywaters 130nm meeting corners: different flavors of OTA, BandGap, LDO.

Primary LanguagePythonGNU Lesser General Public License v2.1LGPL-2.1

Watchers