/VHDL_variables_and_signals

Comparison of a "variable" and a "signal" way of doing things

Primary LanguageVHDL

This repository is not active