/Serial-Adder

generic serial adder

Primary LanguageVHDLGNU General Public License v3.0GPL-3.0

GENERIC SERIAL ADDER

Sequential serial adders are economically efficient and simple to build. In serial adders, pairs of bits are added simultaneously during each clock cycle. This is a simple VHDL implementation of serial adder tested with serialAdder_tb as testbench.

Table of Contents

TEST:

for testing set a and b as input numbers in testbench and simulate it with softwares like modelsim. Test Example:

modelsim test example

Contributing

We appreciate feedback and contribution to this repo! Before you get started, please see the following:

Support + Feedback

Include information on how to get support.

  • easily contact me with email

Thank You

Thanks for paying attention, and hope this contest was usefull for you!

License

Link to LICENSE doc.