open-power/snap

HLS: while(1) loop is not doing a never ending loop

Closed this issue · 1 comments

HLS 2016.4 seems to not convert correctly the while(1) loop coded in C since it doesn't generate a never ending loop.
Circumvention is to use the following
while (A < MAX) {
A = (A + 1)%MAX; }

=> need to recreate the issue and test it on latest HLS release

Unable to reproduce it. Will reopen when facing it again