open-power/snap

NVMe: High-level model for SNAP NVMe Support

Closed this issue · 4 comments

Instead of using the denali model to simulate NVMe traffic, we like to have a high-level model which allows quick verification of NVMe based SNAP actions.

Here is my initial model. It allows read/write to NVMe blocks (512 bytes). It stores/reads from files, such that the data can be made persistent. It only works in simulation.
There is still some TODO work to be solved e.g. supporting multiple operations in parallel (max 16).

You can find a snapshot here:

@ThomasFuchs Sven mentioned that there might be some more streamlining needed regarding how to configure this feature. Please talk to him, such that we can figure out what we need to do prior merging this work.

Reworked merge request:

Is now integrated and should work. xsim had a bug, which is addressed in #770.