/SHA256

Physical implementaion of SHA 265 in hardware. The core is limited to a message length of 55 characters.

Primary LanguageVerilogBSD 3-Clause "New" or "Revised" LicenseBSD-3-Clause

Stargazers