poucotm/Verilog-Gadget

Instantiate module question about sv unpacked array port

AshLeung opened this issue · 2 comments

image
image
Hi, as the picture shows, if I instaniate a module with unpacked array port, it will parse the port name incorrectly. plz fix this bug.

@AshLeung , VG package is mainly for verilog not systemverilog. To support systemverilog for all functions including generating testbench, it will take a long time. But I've patched simply for instantiation. Could you try v1.12.1 ?

Thank you! It works.