/website

Personal site, made using Zola.

Primary LanguageHTMLMIT LicenseMIT

website

Visit at qingshan.dev

Stack

  • Framework: Zola
  • Theme: Ported hugo-ink with some custom stylings for zola.
  • Deployment: GitHub Actions