/systemverilog-cocotb-testing

Experimentation of the "cocotb" approach using SystemVerilog for the design of a D Flip-Flop and Python for its test bench. The main goal is to test the time constraints described in the D Flip-Flop 75HC74 datasheet

Primary LanguagePythonApache License 2.0Apache-2.0

No issues in this repository yet.