/vlsi_physical_design_automation

A project to perform the VLSI Physical Design Flow steps of partitioning, floorplan, placement and routing.

Primary LanguagePython

vlsi_physical_design_automation

A project to perform the VLSI Physical Design Flow steps of partitioning, floorplan, placement and routing.

src

The vlsi_design_automation.py is the src file for the project built on python3.

docs/

The docs/ directory contains the final project report for the above project.

steps/

The steps/ directory contains an implementation example along with instructions. The simulation is carried out in Jupyter Notebook.

testbench/

The testbench/ directory contains the 3 testbench netlist files used for the project.

results/

The results/ directory contains the result images and outputs for the netlist files mentioned in the testbench/ directory. A detailed implementation results has been presented for the s27.bench netlist file. A directory graph_algorithm/ has simple graph algorithm outputs, i.e. breadth first search, depth first search, dijsktra's shortest path, prim's minimum spanning tree.