/capsense

Hardware Design files (Eagle format) for a simple capacitive distance sensing circuit

Primary LanguageProlog

This repository is not active