Computer_Architecture

iverilog -o file_name_tb.vvp file_name_tb.v

vvp file_name_tb.vvp

gtkwave

put .\ if require