/yosys_tangnano

Primary LanguagePythonApache License 2.0Apache-2.0

Play TangNano with Yosys toolchains

About TangNano

芯片 GW1N-LV1QN48C6/I5
逻辑资源 1152 LUT4
PLL 1
SRAM 4 Block, 72Kbit
PSRAM 64Mbits
晶振 24MHz

tangnano引脚定义

Install YoWASP

pip install --user yowasp-yosys
pip install --user yowasp-nextpnr-gowin
sudo pacman -S openfpgaloader

Compile

make

Flash

make flash

References

  1. YoWASP

  2. gowin official

  3. TangNano Doc

  4. Amaranth HDL