/uvm_apb

UVM APB VIP

Primary LanguageSystemVerilog

APB UVM VIP

Status:

It is still under construction.

Features:

  1. APB Master Agent
  2. APB Slave Reactive Agent with request-cycle monitor to sequence by TLM FIFO
  3. Testbench for Master-to-Slave bypass test
  4. Testbench for the master agent with an APB SRAM DUT