/Matrix-Multiplication

Design for 4 x 4 Matrix Multiplication using Verilog

Primary LanguageVerilogOtherNOASSERTION

Matrix-Multiplication

Design for 4 x 4 Matrix Multiplication using Verilog

The design has been verified with the following data

test matrix

The design files can be found under /src

The testbench can be found under /tb

Note all input data should be signed 8-bit and output data signed 11-bit. The output is monitored in signed decimal.

License

See the LICENSE file for license rights and limitations (Apache 2.0).