/SymbiYosys

SymbiYosys (sby) -- Front-end for Yosys-based formal verification flows

Primary LanguagePythonOtherNOASSERTION

SymbiYosys (sby) is a front-end driver program for Yosys-based formal hardware verification flows. See http://symbiyosys.readthedocs.io/ for documentation on how to use SymbiYosys.

Many example designs using SymbiYosys have been published on the ZipCPU blog. Please consider browsing the formal verification page of the ZipCPU blog for examples and commentary.

SymbiYosys (sby) itself is licensed under the ISC license, note that the solvers and other components used by SymbiYosys come with their own license terms. There is some more details in the "Selecting the right engine" section of the documentation.