/clock-divider

It divides the clock into 1 / (2 * (1 + div_cnt)).

Primary LanguageVerilog

This repository is not active