microprocessor

I have build the microprocessor of 32 bit which consists of ALU,memory unit.ALU consists of adder,subtractor,multiplier,divider,logic unit,shifter.I have implemented this project in Verilog.