/puart

Pseudo UART allowing to connect via pseudoterminal to GHDL simulated IP core

Primary LanguageVHDLOtherNOASSERTION