/upduino-blinky

Two simple Upduino projects that blink an RGB LED in various ways.

Primary LanguageVerilog

Upduino Blinky

This repository contains two Blinky projects for the Upduino v2 board - naïve one that drives the LEDs directly and one that uses a proper PWM driver.

To build and test it run:

apio verify
apio build
apio upload

More info and some description is available on my blog.