/Traffic-Light-Controller

Traffic Light Controller Using VHDL

Primary LanguageVHDL

4 Way Traffic Light Controller Using VHDL

Simulating Traffic Light Controller at Kamalpokhari Chowk using VHDL

Entity Declaration

It loops through 12 states in auto mode. Green, Yellow, Red lights are in order E-W-N-S and ZebraRed and ZebraGreen are in order EW-NS.

State Table

Simulated using Xilinx ISE

Yellow light remains ON for 1 clock cycle and Green remains ON for 3 cycles.

Waveform