ghdl/ghdl-yosys-plugin

Report statment and states in vhdl

Opened this issue · 1 comments

Is their is a way to see report statment and the current state by name. Because cxxrtl ignore the report statment and convert all state into binary.

No. I am pretty sure cxxrtl can only work on a netlist and report statements are not synthesizable.

You don't you simply simulate with ghdl ?