/cordic_vhdl

Cordic system implemented in VHDL FPGA (Spartan3E)

Primary LanguageVHDL

cordic_vhdl

TP para la materia Sistemas Digitales <66.17>

Implementacion de sistema CORDIC para rotacion de coordenadas.

Lang: VHDL IDE: Xilinx 14.7

Uso:

  1. Conectar conversor serie
  2. Ejecutar coord loader (python program in utils)
  3. Presionar botones para rotar la figura en la pantalla

Creditos:

image