/HLS

Vitis HLS LLVM source code and examples

Primary LanguageCOtherNOASSERTION

Xilinx Vitis HLS LLVM 2021.2

The directory contains Xilinx HLS LLVM source code and examples for use with Xilinx Vitis HLS 2020.2 release.

Directory Description
hls-llvm-project llvm-project submodule, only the clang, clang-tools-extra, and llvm sub-directories are used by Vitis HLS
ext External libraries required by hls-llvm-project
plugins Custom llvm passes that can be built and used in Vitis HLS
vitis_hls_examples Examples of using Vitis HLS with local hls-llvm-project or plugin binaries

How to build hls-llvm-project

Copyright 2016-2022 Xilinx, Inc. SPDX-License-Identifier: Apache-2.0