/SRAM-memory

A project to implement and test simple SRAM synchronous positive edge memory.

Primary LanguageSystemVerilog

SRAM Memory

A project to implement and test simple SRAM synchronous positive edge memory.

  • Design made using Verilog and Testbench made in 2 versions: System Verilog and Verilog.
  • Developed testcases to verify functioning of the design and used Questasim software for compilation and simulation. Test cases also includes frontdoor access and backdoor access along with random read write tests.

Files

  1. Verilog
  2. System Verilog