NiosII_HDL

You need:

To run:

  • Open qpf file with Quartus, compile it and program the FPGA
  • Open Eclipse from Quartus -> Tools -> Nios II Software Build Tools for Eclipse
  • Check if on line 104 in Makefile from bsp project from software folder is the correct path to .sopcinfo file
  • Import projects from software folder https://www.youtube.com/watch?v=o-t2e0hxNHc&ab_channel=roseindiatutorials
  • Build Projects (the one with C source code + bps) - first build bps - and run it as Nios Hardware