/lfsr

A vhdl device to generate random numbers LFSR

Primary LanguageVHDL

LFSR

an implementation for a linear feedback shift register in VHDL. Use for test purpose to act "random" data for simulated device. The design an abstracted version from the original design by Deepak Kumar Tala and Alexander H Pham avaliable here

Usage

add the component to your code and assign a seed integer and the output width

License

the code is released under GNU GPL v3.0 full license avaliable here!